Many-Core Fabricated Chips Information Page

(With Selected Multi-Core Fabricared Chips)

This page contains a comprehensive listing of key attributes of fabricated programmable many-core chips, such as the number of cores, clock rate, power, and chip area.

The tables are Sortable by clicking a column heading in the top row. Clicking once, the table will be sorted from low to high, and clicking twice, the table will be sorted from high to low.


 Year  Processor Number of
Cores
Clock Rate
(GHz)
CMOS Tech
(nm)
Die Size
(mm^2) *
Die Size Scaled to 22nm
(mm^2) †
Voltage 
(V)
Chip Power
(W)
Single Processor Power
(mW)
Energy Organization Reference
2002 RAW 16 0.425 180 331.24 (16) 3.975 - 25 W 1562.5 mW # - MIT [1]
2005 Cell 9 4.0 90 221 ? 17.76 ? 1 - - - Sony,Toshiba,IBM [2]
2006 SEAforth24 24 1.0 180 7.29 ? 0.0875 ? - 0.15 W 6.25 mW # - Intelesys Corporation [3]
2006 AsAP 1 36 0.60 180 32.1 0.3852 2 - 2.4 mW @0.9V, 116MHz
32 mW @1.8V, 475MHz
93.0 pJ/Op = 0.093 mW/MHz
300 pJ/Op = 0.3 mW/MHz @1.8V
UC Davis [4]
2006 PC202/203/205 248 0.16 - - - - - - - picoChip [5] [6]
2007 SPARC T2 8 1.4 65 342 51.3 1.1 84.0 W @1.4GHz 10500.0 mW @1.4GHz # - Sun Microsystems [7]
2007 Tilera TILE64 64 0.75 90 - - - 10.8 W @1V, 750MHz 168.75 mW @1V, 750MHz # - Tilera Corporation [8]
2007 Polaris(TeraFLOPS) 80 5.67 65 275.0 (3) 41.25 1.35 15.6 W @670mV
97 W @1.07V, 4.27GHz
230 W @1.35V, 5.67GHz
195.0 mW @670mV
1212.5 mW @1.07V, 4.27GHz
2875 mW @1.35V, 5.67GHz
97 pJ/fl operation Intel Tera-Scale [9] [10]
2008 Xeon E7450 6 2.4 45 503 115.69 0.9-1.45 90 W 15000 mW # - Intel [11]
2008 Xeon X7460 6 2.66 45 503 115.69 0.9-1.45 130 W 21666.7 mW # - Intel [11]
2008 GeForce 8800 Ultra 16 1.5 90 470 37.6 1.3 150.0 W @1.3V 1171.88 mW @1.3V # - Nvidia [13]
2008 SEAforth 40C18 40 0.7 - - (0.13) - - 0.15 W 3.75 mW # - Intelesys Corporation [15] [16]
2008 AsAP 2 167 1.2 65 39.44 (0.1684) 5.916 1.3 - 0.608 mW @0.675V, 66MHz
3.4 mW @0.75V, 260MHz
47 mW @1.2V, 1.06GHz
62 mW @1.3V, 1.2GHz
5.9 pJ/Op avg@1.3V, 1GHz
32 pJ/Op = 0.032mW/MHz,
100%active
UC Davis [17]
2008 Ambric Am2045 336 0.3 130 - - 1.2 ? 10.0 W @300MHz 29.76 mW @300MHz # 10.0 pJ/op@300MHz Ambric, Inc [18] [19]
2009 QorIQ P4080 8 1.5 45 - - - - 3750.0 mW @1.5GHz # - Freescale Semiconductor [21]
2010 Core i7-980X 6 3.33 32 239 117.11 0.8-1.375 130 W 21667 mW # - Intel [11]
2010 Power 7 8 4.25 ? 45 567 130.41 - - - - IBM [24]
2010 Xeon X7560 8 2.266 45 684 ? 157.32 ? 0.675-1.35 ? 130 W 16250 mW # - Intel [11]
2010 Opteron 6176 SE 12 2.3 45 346 79.58 - 105 W 8750 mW # - AMD [25]
2010 SPARC T3 16 2.0 40 377.0 (6.5) 124.41 - 120 W 7500 mW # - Sun Microsystems [26] [27]
2010 IA-32 Message-Passing Processor 48 1.0 45 567.1 130.4 1.14 (typical)
0.7 (dvfs)
25.0 W (dvfs)
125.0 W (typical)
520.8 mW @125MHz, 0.7V #
2604 mW @1Ghz, 1.14V #
- Intel [29]
2011 Core i7-990X 6 3.46 32 239 117.11 0.8-1.375 130 W 21667 mW # - Intel [11]
2011 SPARC T4 8 3.0 40 403.0 (15.4) 132.99 1 <240 W <30000 mW # - Sun Microsystems [31] [32]
2011 ePUMA ‡ 4 ? - 65 23 3.45 - 4 W ? 1000 mW # ? - Linköping University [33] [34]
2011 Xeon E7-8870 10 2.4 32 513? 251.37 ? 0.65-1.35? 130 W 13000 mW # - Intel [11]
2011 Operon 6282 SE 16 2.6 32 316 154.84 - - - - AMD [35]
2011 3D-Maps 64 0.277 130 25 0.875 1.5 4 W 62.5 mW @1.5V, 277 MHz - Georgia Tech, Lee [36] [37]
2012 Xeon E5-4607 6 2.2 32 - - 0.6-1.35 95 W 15833 mW # - Intel [11]
2012 GeForce GTX 680 (Kepler) 8 1.006 28 294 204.04 - 195 W 24375 mW # - Nvidia [38]
2012 Itanium 9560 8 2.53 32 - - - 170 W 21250 mW # - Intel [11]
2012 Power 7+ 8 4.4 ? 32 567 ? 277.83 ? 3.0-5.0 ? - - - IBM [39]
2012 16-Core Processor with
Message-Passing
16 0.8 65 9.1 (0.43) 1.365 1.2 - 34.0 mW @1.2V, 750 MHz 45.0 pJ/operation =
0.045 mW/MHz @1.2V
Fudan University [40]
2012 Opteron 6386 SE 16 2.8 32 315 154.35 - 140 W 8750 mW # - AMD [42]
2012 Xeon Phi 5110P 60 1.053 22 - - - 225 W 3750 mW # - Intel [11]
2012 Kalray MPPA-256 288 ** 0.4 28 - - - 15 W 52.08 mW # - Kalray [44]
2013 Xeon E5-2618L v2 6 2.0 22 - - 0.65-1.3 50 W 8333 mW # - Intel [11]
2013 Xeon E5-2628L v2 8 1.9 22 - - 0.65-1.3 70 W 8750 mW # - Intel [11]
2013 Power 8 12 5.0 22 649 649 1.1? - - - IBM [45]
2013 QorIQ T4240 12 1.8 28? - - 1.8 - - - Freescale Semiconductor [46]
2013 Kepler GK110 15 - 28 - - - - - - Nvidia [47]
2013 SPARC T5 16 3.6 28 478.0 (15.4) 331.732 - - - - Sun Microsystems [48] [49]
2013 Xeon Phi 3120A 57 1.1 22 - - - 300 W 5263.16 mW # 299.1 pJ/fl operation Intel [51] [52]
2013 Xeon Phi 5120D 60 1.053 22 - - - 245 W 4083.33 mW # 242.34 pJ/fl operation Intel [52]
2013 Tilera TILE-Gx72 72 1.2 40 - - - 65 W 902.78 mW # - EZchip (prev. Tilera) [53]
2014 Xeon E5-2430 v2 6 2.5 22 - - 0.65-1.3 80 W 13333 mW # - Intel [11]
2014 Core i7-5960X 8 3.0 22 - - - 140 W 17500 mW # - Intel [11]
2014 TMS320C6678 8 1.4 40 - - SmartReflex
variable
- - - Texas Instruments [54]
2014 Xeon E7-8895 v2 15 2.8 22 - - - 155 W 10333.33 mW # - Intel [11]
2014 GeForce GTX 980 (Maxwell) 16 1.126 28 398 276.21 - 165 W 10312.5 mW # - Nvidia [38]
2014 Opteron 6370P 16 2.0 32 316 154.84 - 99 W 6187.5 mW # - AMD [42]
2014 ThunderX 24-48 2.5 28 - - - - - - Cavium [55]
2014 Xeon Phi 7120X 61 1.238 22 - - - 300 W 4918.03 mW # 248.35 pJ/fl operation Intel [52]
2015 Xeon E5-2418L v3 6 2.0 22 - - 0.65-1.3 50 W 8333 mW # - Intel [11]
2015 Xeon E7-4809 v3 8 2.0 22 - - - 115 W 14375 mW # - Intel [11]
2015 Carrizo x86 APU 12 - 28 250.04 173.53 - - - - AMD [56]
2015 Xeon E7-4830 v3 12 2.1 22 - - - 115 W 9583 mW # - Intel [11]
2015 Xeon E7-4850 v3 14 2.2 22 - - - 115 W 8214 mW # - Intel [11]
2015 Xeon E7-8860 v3 16 2.2 22 - - - 140 W 8750 mW # - Intel [11]
2015 Xeon E7-8880 v3 18 2.3 22 - - - 150 W 8333 mW # - Intel [11]
2015 Radeon R9 Nano 64 1.0 28 - - - 175 W 2734 mW # - AMD [50] [57]
2015 Mobileye EyeQ4 14 - 28 - - - 3 W - - Mobileye [59]
2016 Heterogeneous Nona-Core SoC 9 2.0 16nm FinFET 111.36 - - - - - Renesas [60]
2016 Tri-Cluster CPU Subsystem 10 2.5 20 100 - - - - - MediaTek [61]
2016 Homogeneous Scalable 3D Network-on-Chip 32 1.0 65 72.2 10.83 1.2 0.0557 W 1.741 mW# - CEA-LETI-MINATEC [62]
2016 KNUPATH Hermosa Processors 256 - - - - - 34 W 132.8 mW# - Knupath [63]
2016
(2014 fabricated)
KiloCore 1000 1.782 GHz@1.1V 32 64.0 (0.055) 31.36 1.1 13.1 W @0.84V 0.67 mW @0.56V, 115MHz 5.8 pJ/Op @0.56V, 115MHz UC Davis [64]
 Year  Processor Number of
Cores
Clock Rate
(GHz)
CMOS Tech
(nm)
Die Size
(mm^2) *
Die Size Scaled to 22nm
(mm^2) †
Voltage 
(V)
Chip Power
(W)
Single Processor Power
(mW)
Energy Organization Reference






Many-core chips for which we have not been able to find a conference publication, journal publication, datasheet, or detailed description

 Year  Processor Number of
Cores
Clock Rate
(GHz)
CMOS Tech
(nm)
Chip Power
(W)
Single Processor Power
(mW)
Energy Organization Reference
2006 KC256 256 0.1 180 500 mW@100MHz 1.95 mW@100MHz# - Rapport, Inc. [67]
2008 FireStream 9270 10 ? 0.75 55 <160 W <16000 mW # - AMD [12]
2008 GeForce 9800 GTX+ 16 0.783 55 141 W 17625 mW # 217.6 pJ/fl operation ? Nvidia [14]
2009 GeForce G210M 2 1.5 40 ? 14 W ? - 194.6 pJ/fl operation ? Nvidia [20]
2009 Octeon II CN68XX 32 1.6 65 40-65 W 1280.0 mW @1.6GHz - Cavium [22]
2010 Phenom II X6 1090T 6 3.2 45? 125 W ? 20833 mW # ? - AMD [23]
2010 NetLogic XLP 32 2.0 40 - - - NetLogic Microsystems [28]
2011 FX-8 8 - 32 - - - AMD [30]
2012 Epiphany-III 16 0.6 65 0.9-2 W 125 mW 62.5 pJ/operation Adapteva [41]
2012 Epiphany-IV 64 0.8 28 1.4-1.2 W 31.25 mW 19.54 pJ/fl operation Adapteva [43]
2012 PEZY-1 Processor 512 0.666 40 - - - PEZY Computing [65]
2013 Radeon R9 290X 44 1.0 28 - - - AMD [50]
2014 PEZY-SC Processor 1024 0.733 28 - - - PEZY Computing [66]
 Year  Processor Number of
Cores
Clock Rate
(GHz)
CMOS Tech
(nm)
Chip Power
(W)
Single Processor Power
(mW)
Energy Organization Reference




Notes:

     *: The value inside the parenthesis is single core area.

     †: The die size is scaled to 22nm CMOS Technology using table ***.

     ‡: Each core consists of 8 SIMD processors and one Master processor.

     #: The single processor power is calculated from dividing total power by number of cores.

     **: 256 user cores and 32 system cores.




Table ***: Scale Factors for Scaling Die Size to 22nm CMOS Technology

CMOS Tech (nm) 180 150 130 120 90 65 55 45 40 32 28 22
Scale Factor 0.012 0.026 § 0.035 0.046 § 0.08 0.15 0.19 § 0.23 0.33 § 0.49 0.694 § 1

Notes:

     The data of this table come from Table VII of [58], these scale factors are formed by using Geometric Means of Three Aspects: Minimum Feature Size, Metal I half pitch, (4T) Logic Gate Size.

     The scale factor that followed with a '§' means it is derived from original data by linear interpolation.

     The CMOS technology that is larger than 180 nm, such as 250 nm, 600 nm, is defined not scalable in this context, since the linear interpolation will lead to negative scale factor.



References

[1] Taylor, Michael Bedford, et al. "The Raw microprocessor: A computational fabric for software circuits and general-purpose programs." Micro, IEEE 22.2 (2002): 25-35.

[2] Pham, Dac C., et al. "Overview of the architecture, circuit design, and physical implementation of a first-generation cell processor." Solid-State Circuits, IEEE Journal of 41.1 (2006): 179-196.

[3] E. Bailey,Embedded Arrays venture forth: IntellaSys 24-Core SEAforth chips target lowpower multimedia, Microprocessor Report: the Insider's Guide to Microprocessor Hardware, (2006).

[4] Yu, Zhiyi, et al. "AsAP: An asynchronous array of simple processors." Solid-State Circuits, IEEE Journal of 43.3 (2008): 695-705.

[5] Duller, Andrew, Gajinder Panesar, and Daniel Towner. "Parallel Processing-the picoChip way." Communicating Processing Architectures 2003 (2003): 125-138.

[6] Duller, Andrew, et al. "Development of a Family of Multi-Core Devices Using Hierarchical Abstraction." CPA. 2007.

[7] Shah, Manish, et al. "UltraSPARC T2: A highly-treaded, power-efficient, SPARC SOC." Solid-State Circuits Conference, 2007. ASSCC'07. IEEE Asian. IEEE, 2007.

[8] Bell, Shane, et al. "Tile64-processor: A 64-core soc with mesh interconnect."Solid-State Circuits Conference, 2008. ISSCC 2008. Digest of Technical Papers. IEEE International. IEEE, 2008.

[9] Vangal, Sriram, et al. "An 80-tile 1.28 TFLOPS network-on-chip in 65nm CMOS." IEEE International Solid-State Circuits Conference, ISSCC 2007, Digest of Technical Papers, San Francisco, CA, USA. IEEE, 2007.

[10] Vangal, Sriram R., et al. "An 80-tile sub-100-w teraflops processor in 65-nm cmos." Solid-State Circuits, IEEE Journal of 43.1 (2008): 29-41.

[11] "Intel ARK" Technical Specifications. (Intel).

[12] https://en.wikipedia.org/wiki/AMD_FireStream.

[13] Lindholm, Erik, et al. "NVIDIA Tesla: A unified graphics and computing architecture." IEEE micro 2 (2008): 39-55.

[14] Geforce.com, 'GeForce 9800 GTX+ | Specifications | GeForce ', 2015. [Online]. Available: http://www.geforce.com/hardware/desktop-gpus/ geforce-9800-gtx-plus/specifications. [Accessed: 18- Sep- 2015].

[15] B. WIRE, 'IntellaSys' 40-core Processor Technology Creates Industry Benchmark for Embedded Applications | Business Wire', Businesswire.com, 2008. [Online]. Available: http://www.businesswire.com/news /home/20080924005255/en/IntellaSys-40-core-Processor-Technology-Creates- Industry-Benchmark#.Vfpe3vlVhVR. [Accessed: 17- Sep- 2015].

[16] "SEAforth 40C18" Datasheet. (Intellasys 2008).

[17] Truong, Dean, et al. "A 167-processor 65 nm computational platform with per-processor dynamic supply voltage and dynamic clock frequency scaling."Symposium on VLSI Circuits. 2008.

[18] M. Butts, "Synchronization through Communication in a Massively Parallel Processor Array," in IEEE Micro, vol. 27, no. 5, pp. 32-40, Sept.-Oct. 2007.

[19] Embeddedinsights.com, 'Embedded Insights - Embedded Processing Directory - Nethra Am2045', 2015. [Online]. Available: http://www. embeddedinsights.com/epd/nethra/nethra-am2045.php. [Accessed: 17- Sep- 2015].

[20] Geforce.com, 'GeForce G210M | Specifications | GeForce', 2015. [Online]. Available: http://www.geforce.com/hardware/notebook-gpus/ geforce-g210m/specifications. [Accessed: 18- Sep- 2015].

[21] Freescale Semiconductor, QorIQ P4080 Communications Processor Product Brief (Freescale Publication P4080PB, 2008).

[22] Multicore and the 32 Core Cavium OCTEON II 68xx.

[23] Amd.com, 'AMD Phenom II Processors', 2015. [Online]. Available: http://www.amd.com/en-us/products/processors/desktop/phenom-ii. [Accessed: 18- Sep- 2015].

[24] Kalla, Ron, et al. "Power7: IBM's next-generation server processor." IEEE micro 2 (2010): 7-15.

[25] Advanced Micro Devices, AMD Opteron 6000 Series Platform Quick Reference Guide(AMD Publication 48101-A, 2010).

[26] Shin, Jinuk Luke, et al. "A 40 nm 16-core 128-thread SPARC SoC processor."Solid-State Circuits, IEEE Journal of 46.1 (2011): 131-144.

[27] ORACLE DATA SHEET -- SPARC T3 PROCESSOR.

[28] Phx.corporate-ir.net, 'NetLogic Microsystems | Press Release', 2015. [Online]. Available: http://phx.corporate-ir.net/phoenix.zhtml? c=178551&p=irol-newsArticle_Print&ID=1603688. [Accessed: 17- Sep- 2015].

[29] Howard, John, et al. "A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS." Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2010 IEEE International. IEEE, 2010.

[30] Amd.com, 'AMD FX Processors', 2015. [Online]. Available: http://www.amd.com/en-us/products/processors/desktop/fx. [Accessed: 17- Sep- 2015].

[31] ORACLE DATA SHEET -- SPARC T4 PROCESSOR.

[32] Shah, Manish, et al. "Sparc T4: A dynamically threaded server-on-a-chip."IEEE Micro 2 (2012): 8-19.

[33] Liu, Dake, et al. "ePUMA embedded parallel DSP processor with Unique Memory Access." Information, Communications and Signal Processing (ICICS) 2011 8th International Conference on. IEEE, 2011.

[34] Wang, Jian, Joar Sohl, and Dake Liu. "Architectural support for reducing parallel processing overhead in an embedded multiprocessor ." Embedded and Ubiquitous Computing (EUC), 2010 IEEE/IFIP 8th International Conference on. IEEE, 2010.

[35] Advanced Micro Devices, AMD Opteron 6200 Series Processor Quick Reference Guide(AMD Publication 50368F, 2012).

[36] Healy, Michael B., et al. "Design and analysis of 3D-MAPS: A many-core 3D processor with stacked memory." CICC. 2010.

[37] Gtcad.gatech.edu, 'home |gtcad_3d maps processors', 2015. [Online]. Available: http://www.gtcad.gatech.edu/3d-maps/. [Accessed: 17- Sep- 2015].

[38] Nvidia Whitepaper, "NVIDIA GeForce GTX 980, Featuring Maxwell, The Most Advanced GPU Ever Made".

[39] Zyuban, V., et al. "IBM POWER7+ design for higher frequency at fixed power." IBM Journal of Research and Development 57.6 (2013): 1-1.

[40] Yu, Zhiyi, et al. "An 800MHz 320mW 16-core processor with message-passing and shared-memory inter-core communication mechanisms." Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2012 IEEE International. IEEE, 2012.

[41] Epiphany-III 16-core 65nm Microprocessor (E16G301).

[42] Advanced Micro Devices, AMD Opteron 6300 Series processor Quick Reference Guide(AMD Publication 52693B, 2014).

[43] Epiphany-IV 64-core 28nm Microprocessor (E64G401).

[44] de Dinechin, Benoît Dupont, et al. "A clustered manycore processor architecture for embedded and accelerated applications." High Performance Extreme Computing Conference (HPEC), 2013 IEEE. IEEE, 2013.

[45] Fluhr, Eric J., et al. "The 12-Core POWER8 Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking." Solid-State Circuits, IEEE Journal of 50.1 (2015): 10-23.

[46] Freescale Semiconductor, QorIQ T4240 Processor Product Brief.

[47] Nvidia Whitepaper, "NVIDIA's Next Generation CUDATM Compute Architecture: Kepler TM GK110".

[48] Hart, John M., et al. "A 3.6 GHz 16-Core SPARC SoC Processor in 28 nm."Solid-State Circuits, IEEE Journal of 49.1 (2014): 19-31.

[49] ORACLE DATA SHEET -- SPARC T5 PROCESSOR.

[50] Amd.com, 'AMD Radeon R9 Series Graphics', 2015. [Online]. Available: http://www.amd.com/en-us/products/graphics/desktop/r9#. [Accessed: 16- Sep- 2015].

[51] Intel Xeon Phi Coprocessor - the Architecture.

[52] Intel Xeon Phi Product Family: Product Brief.

[53] EZchip Semiconductor, TILE-Gx72 Processor Product Brief.

[54] Texas Instruments, Multicore Fixed and Floating-Point Digital Signal Processor (TI Publication SPRS691E, 2014).

[55] Cavium, ThunderX Family Product Brief.

[56] K. Wilcox et al., A 28nm x86 APU optimized for power and area efficiency.International Solid-State Circuits Conference (IEEE, San Francisco, CA, 2015), pp. 1-3.

[57] "AMD Radeon R9 Nano, World's Smallest and Most Power-Efficient Enthusiast Graphics Card, Brings 4K Gaming to the Living Room" Press Release (AMD 2015).

[58] Stillmaker, Aaron, Zhibin Xiao, and Bevan Baas. "Toward more accurate scaling estimates of cmos circuits from 180 nm to 22 nm." VLSI Computation Lab, ECE Department, University of California, Davis, Tech. Rep. ECE-VCL-2011-4 (2011): 2011-4.

[59] "Mobileye's Next Vision Processor Targets Autonomous Driving | Electronics360", Electronics360.globalspec.com, 2015. [Online]. Available: http://electronics360.globalspec.com/article/5088/mobileye-s-next-vision- processor-targets-autonomous-driving. [Accessed: 17- Jun- 2016].

[60] Takahashi, Chikafumi, et al. "4.5 A 16nm FinFET heterogeneous nona-core SoC complying with ISO26262 ASIL-B: Achieving 10^-7 random hardware failures per hour reliability." 2016 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2016.

[61] Mair, Hugh T., et al. "4.3 A 20nm 2.5 GHz ultra-low-power tri-cluster CPU subsystem with adaptive power allocation for optimal mobile SoC performance." 2016 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2016.

[62] Vivet, Pascal, et al. "8.1 A 4x4x2 homogeneous scalable 3D network-on-chip circuit with 326MFlit/s 0.66 pJ/b robust and fault-tolerant asynchronous 3D links." 2016 IEEE International Solid-State Circuits Conference (ISSCC). IEEE, 2016.

[63] "KNUPATH Hermosa Processors - KNUPATH", KNUPATH, 2016. [Online]. Available: https://www.knupath.com/products/hermosa-processors/. [Accessed: 17- Jun- 2016].

[64] Brent Bohnenstiehl, Aaron Stillmaker, Jon Pimentel, Timothy Andreas, Bin Liu, Anh Tran, Emmanuel Adeagbo and Bevan Baas,"A 5.8 pJ/Op 115 Billion Ops/sec, to 1.78 Trillion Ops/sec 32nm 1000-Processor Array," IEEE Symposium on VLSI Circuits, Honolulu, HI, June 2016.

[65]"PEZY-1 Processor - PEZY Computing", Pezy.co.jp, 2016. [Online]. Available: http://pezy.co.jp/en/products/pezy-1.html. [Accessed: 27- Jun- 2016].

[66]"PEZY-SC Processor - PEZY Computing", Pezy.co.jp, 2016. [Online]. Available: http://pezy.co.jp/en/products/pezy-sc.html. [Accessed: 27- Jun- 2016].

[67] Rapport KC 256 Technical Overview.


This page is maintained by members of the VLSI Computation Laboratory at UC Davis.



VCL | ECE Dept. | UC Davis

Last update: September 13, 2016